Kontakti      O sajtu

Logički elementi i računarske jedinice. Tema: logički elementi i tipične računarske komponente. Logički elementi i tipične računarske komponente

Fizičke komponente i kola koja čine MP i MPS su njihov hardver. Oprema je sposobna za obavljanje samo ograničenog skupa elementarnih operacija. Svi ostali su funkcionalni. sposobnosti se ostvaruju programski, odnosno odgovarajućom organizacijom određenog skupa i redosleda elementarnih mašinskih operacija.

Iako je u MP-u hardver podređen softveru, oba su u potpunosti na raspolaganju programeru. Stoga je potrebno biti dobro svjesni koje mogućnosti pružaju.

Hardver MP i MPS je skup standardnih logičkih čvorova koji se više puta ponavljaju, koji zauzvrat predstavljaju kola standardnih logičkih elemenata.

Tipični logički čvorovi uključuju flip-flop, registre, brojače, sabirače, dekodere, multipleksore, sisteme sabirnica, memorije, itd.

Sa stanovišta metoda transformacije informacija, neki od ovih čvorova se mogu klasifikovati kao kombinaciona kola, uz pomoć kojih se izvode aritmetičke i logičke operacije nad dve višebitne reči.

Kombinovana kola su kola bez memorije.

Drugi dio su sekvencijalna kola koja izvode operacije pohranjivanja, pomjeranja, brojanja i prijenosa informacija. Sekvencijalna kola sadrže skladišne ​​elemente (SE).

Funkcionalnost MP-a je određena uglavnom njegovim kombinacijskim dijelom, koji čini osnovu ALU-a.

Zbog ograničenja u obimu priručnika, u nastavku će se razmatrati samo autobusi i ukratko će biti opisani tipovi uređaja za skladištenje.

Princip kanala pruža glavni način smanjenja broja priključaka u sistemima - korištenje magistrala. Broj mogućih konekcija na određeni blok je ograničen ograničenjima rasporeda integriranih kola ili tiskanih ploča. Štoviše, općenito je poželjno da se broj priključaka svede na minimum, jer oni čine glavni dio cijene uređaja.

Sabirnice su uobičajeni informacioni kanali, tj. kanali koje koriste mnogi uređaji u sistemu. Općenito, informacije o sabirnicama se prenose u obliku riječi, koje su grupa bitova. Pojedinačni bitovi riječi mogu se prenijeti preko zasebnih linija u magistrali ili se mogu prenositi kroz jednu liniju uzastopno u vremenu. U prvom slučaju, sabirnice se nazivaju paralelne, au drugom - serijske.

Dakle, sabirnica je linija ili skup linija koji međusobno povezuje pojedinačne logičke uređaje i omogućava jednom uređaju da šalje podatke jednom ili više drugih uređaja.

Sabirnica može biti jednosmjerna - u ovom slučaju neki uređaji uvijek djeluju kao pošiljaoci, a drugi - uvijek kao prijemnici; sabirnica može biti dvosmjerna - u ovom slučaju svaki uređaj povezan na sabirnicu može u nekom trenutku poslati signale drugim uređajima.

Sa tehničke tačke gledišta, metoda razmjene informacija putem magistrala svodi se na kreiranje dvosmjernih bafer kaskada sa tri stabilna stanja i implementaciju privremenog multipleksiranja kanala razmjene.

Primjeri fizičke implementacije sabirnica su: sabirnica specijalnog dizajna koja se sastoji od fleksibilnih žica i sabirnica izrađena u obliku štampanog kola. U bilo kom trenutku, znajući logičko stanje magistrale, možete u potpunosti odrediti put kojim podaci prolaze u sistemu od jedne tačke do druge.

Za mikroprocesorske sisteme najčešća arhitektura je sa tri magistrale: adresna, data i kontrolna. Adresna magistrala je uvijek jednosmjerna (u odnosu na MP).

Kada se koristi organizacija sabirnice kako unutar čipa tako i pri povezivanju nekoliko LSI-ova na jednu eksternu sabirnicu, poteškoće nastaju zbog metoda povezivanja nekoliko elemenata na jednu liniju zajedničke magistrale.

Mogućnost povezivanja nekoliko ulaza logičkih elemenata na sabirnicu ograničena je samo kapacitetom opterećenja kola na čiji je izlaz spojena ova sabirnica. Kada se koriste moćna kola međuspremnika, kapacitet opterećenja je dovoljan za većinu praktičnih aplikacija u organizaciji sabirnice.

Teže je organizirati povezivanje izlaza nekoliko elemenata na jednu sabirnicu. Postoje tri poznata načina za rješavanje ovog problema: logička unija; kombiniranje korištenjem otvorenih kolektorskih kola („logika ožičenja”); fuziju pomoću kola u tri stanja.

Analiza karakteristika na razne načine organizacija zajedničkih sabirnica u MP i MPS omogućava nam da izvučemo zaključke koji su potvrđeni praktičnim razvojem: pri organizaciji internih MP sabirnica, u pravilu se koristi logično kombiniranje i kombiniranje pomoću kola otvorenog kolektora; Prilikom organizovanja autoputeva van MP, po pravilu se koristi logika tri stanja.

Element je najmanji funkcionalni deo na koji se računar može podeliti tokom logičkog projektovanja i tehničke implementacije. Prema funkcionalnoj namjeni, kompjuterski elementi se mogu podijeliti na: logičke (ostvaruju jednu od funkcija algebre logike); skladištenje (japanke za pohranjivanje jednocifrenih binarnih brojeva); pomoćni (za formiranje i generisanje impulsa, tajmera, indikatorskih elemenata, pretvarača nivoa, itd.).

Čvor je skup elemenata koji implementira jednu od mašinskih operacija. Postoje dvije vrste kompjuterskih čvorova: kombinacijski; kombinacijski čvorovi uključuju sabirače, kola za poređenje, enkodere, dekodere, množitelje, programabilne logičke matrice, itd. koji se akumuliraju (sa memorijom). okidači, registri, brojači itd. koji akumuliraju čvorove.

Koder (koder) pretvara jedan signal na jednom od ulaza u n-bitni binarni kod. Svoju najveću primjenu nalazi u uređajima za unos informacija (kontrolne ploče) za pretvaranje decimalnih brojeva u binarni brojevni sistem. Ulazi Izlazi X Y 3 Y 2 Y 1 Y 0 0 0 1 2 0 0 1 0 3 0 0 1 1 4 0 1 0 0 5 0 1 6 0 1 1 0 7 0 1 1 1 8 1 0 0 0 9 1 0 1 Y 0=X 1+X 3+X 5+X 7+X 9 Y 1=X 2+X 3+X 6+X 7 Y 2=X 4+X 5+X 6+X 7 Y 3= X 8+X 9.

Dekoder (dekoder) je čvor koji pretvara kod koji stiže na njegove ulaze, a signal samo na jedan od njegovih izlaza.

Multiplekser je čvor dizajniran za ispitivanje i prijenos ulaznih informacijskih signala do jednog izlaznog kola. U svakom trenutku se bira samo jedno jednobitno ili višebitno ulazno kolo. UGO – uslovni grafički prikaz

Digitalni komparator je kombinovani logički uređaj dizajniran za upoređivanje brojeva predstavljenih u binarnim kodovima. Broj komparatorskih ulaza određen je dubinom bita kodova koji se porede. Na izlazu komparatora obično se generiraju tri signala:

Binarni sabirač je logička operativna jedinica koja vrši aritmetičko sabiranje kodova dva broja. Postoje dva tipa kola za sabiranje: Polu-sabirač; Puna zbrajalica.

Polusabirač - dizajniran za sabiranje jednocifrenih brojeva. binarni brojevi bez uzimanja u obzir prenošenja iz prethodne kategorije. Napravimo tabelu logičkih vrijednosti za sabirač, gdje su A, B pojmovi, P i S cifra za nošenje i mjesto za sumu, respektivno.

Računalni elementi i komponente.

Računarski element je najmanji strukturni i funkcionalni dio računara koji se koristi u njegovom logičkom dizajnu i tehnološkoj implementaciji. Prema namjeni dijele se na logičke, skladišne ​​i pomoćne.

Logički elementi implementiraju logičke operacije i koriste se kako za izgradnju složenih logičkih kola (čvorova) tako i za kontrolu rada pojedinačnih računalnih blokova i uređaja.

Elementi za skladištenje su dizajnirani za skladištenje i izlaz binarnih informacija.

Pomoćni elementi se najčešće koriste za obezbjeđivanje energije i koordinaciju rada različitih računalnih blokova.

Razmotrimo princip konstrukcije i rada elemenata i sklopova koji se široko koriste u računarima.

Trigger - elementarni digitalni automat sa dva stabilna stanja. Stanje 0 na izlazu Q odgovara isključenom stanju, a Q=1 uključenom stanju. Okidači pohranjuju informacije i ostaju u datom stanju nakon prestanka signala prebacivanja. Široko se koriste u digitalnoj obradi informacija.
Prema načinu organizovanja logičkih veza koje određuju karakteristike funkcionisanja razlikuju se trigeri RS, T, D, JK. Od njih, JK okidač se naziva univerzalnim, jer se iz njega mogu dobiti sve druge vrste okidača.

Princip rada JK flip-flopa je dobro objašnjen u prijelaznom grafu.

JK prekidači okidača:

Asinhroni T okidač je okidač za brojanje, svaka dva signala na T ulazu formiraju jedan signal na izlazu.

Sinhroni T okidač je okidač za brojanje, svaka dva signala na C ulazu formiraju jedan izlazni signal ako postoji logička 1 na T ulazu.

Sinhroni D flip-flop - implementira funkciju vremenske odgode. Radi prema sljedećoj tablici prijelaza.

Asinhroni RS okidač je elementarni digitalni automat sa dva stabilna stanja i dva ulaza R i S, koji radi u skladu sa sljedećom prijelaznom tablicom.

Sinhroni RS okidač se razlikuje od asinhronih RS okidača po tome što, pored informacijskih ulaza, ima sinhronizacijski ulaz C. Kada je C = 0, okidač je u načinu skladištenja informacija. Kada je C=1, sinhroni flip-flop radi kao asinhroni RS flip-flop.

Registri - to su računarski čvorovi koji služe za pohranjivanje informacija u obliku mašinskih riječi ili njihovih dijelova, kao i za izvođenje određenih logičkih transformacija na riječima. To su digitalne Mili mašine napravljene na okidačima.
Registri su sposobni za obavljanje sljedećih operacija:
- postavljanje registra u stanje 0 ili 1 (na svim izlazima);
- primanje i pohranjivanje n bitnih riječi u registar;
- pomicanje binarnog koda riječi pohranjene u registru udesno ili ulijevo za određenu vrijednost bitova;
- pretvaranje koda pohranjene riječi u sekvencijalni, i obrnuto, prilikom prijema ili izdavanja binarnih podataka;
- bitne logičke operacije.

Ispod je konvencionalna grafička oznaka univerzalnog registra i svrha njegovih izlaza:

Counters - kompjuterski čvorovi koji broje i pohranjuju kod za broj izbrojanih signala. To su digitalne Moore mašine kod kojih je novo stanje brojača određeno njegovim prethodnim stanjem i stanjem logičke varijable na ulazu.
Unutrašnje stanje brojača karakteriše faktor konverzije K, koji određuje broj njegovih stabilnih stanja. Glavni parametri su rezolucija (minimalno vrijeme između dva signala koja se pouzdano snimaju) ili maksimalna brzina i kapacitet informacija. Oznaka i svrha terminala reverznog brojača prikazani su na donjoj slici.

dekoder, ili izborna šema, - Ovo je kompjuterski čvor u kojem svaka kombinacija ulaznih signala odgovara prisutnosti signala na jednoj vrlo specifičnoj izlaznoj magistrali (kombinacijski uređaj). Dekoderi se široko koriste za pretvaranje binarnih kodova u kontrolne signale za različite računarske uređaje.

enkoder, ili koder, - Ovo je kompjuterski čvor koji pretvara unitarni kod u neki pozicijski kod. Ako je izlazni kod binarni pozicioni, tada se koder naziva binarnim. Uz pomoć enkriptora, moguće je pretvoriti znamenke decimalnih brojeva u binarni prikaz koristeći bilo koji drugi BCD kod.

Pretvarači kodova - Ovo su kompjuterski čvorovi dizajnirani za kodiranje brojeva. Broj kodnih pretvarača uključuje: binarne u binarne decimalne pretvarače, pretvarače digitalnog prikaza, pretvarače direktnog koda binarnih brojeva u obrnuti ili komplementarni kod, itd.

Multiplekseri - To su čvorovi koji pretvaraju paralelne digitalne kodove u serijske. Kod ovog uređaja izlaz je povezan na jedan od ulaza u zavisnosti od vrednosti adresabilnih ulaza. Multiplekseri se široko koriste za sintezu kombinacionih uređaja, jer to pomaže da se značajno smanji broj korištenih čipova.

Demultiplekseri - To su čvorovi koji pretvaraju informacije iz serijskog oblika u paralelni oblik. Informacioni ulaz D je povezan na jedan od Qi izlaza koji su određeni adresnim signalima A0 i A1.

Guja - Ovo je čvor u kojem se izvodi aritmetička operacija sabiranja digitalnih kodova dva binarna broja.

Koristeći jednobitne sabirače, možete izgraditi višebitne sabirače.

Individualni zadatak br. 4 (Lekcija br. 1)

(U LR br. 2 “Elaborat operativnih jedinica kontrolnog centra”)

Dijagrami uređaja prikazani su u odgovarajućem dijelu laboratorijskog rada.

Zadatak 1: Implementirati način za pisanje i pohranjivanje datog koda za paralelni memorijski registar

Zadatak 2: Implementirati način za pisanje i pohranjivanje datog koda za sekvencijalni pomakni registar. Potrebno je uzeti u obzir da se snimanje vrši počevši od najznačajnije cifre.

Opcije za izvorne podatke za analizu rada registra Tabela 1

Opcija Registar paralelne memorije (pisanje, skladištenje koda) Registar serijskog pomaka (pisanje, kod za skladištenje)
3p 2p 1r 0r 3p 2p 1r 0r
1, 21, 41
2, 22, 42
3, 23, 43
4, 24, 44
5, 25, 45
6, 26, 46
7, 27, 47
8, 28, 48
9, 29, 49
10, 30, 50
11, 31, 51
12, 32, 52
13, 33, 53
14, 34, 54
15, 35, 55
16, 36, 56
17, 37, 57
18, 38, 58
19, 39, 59
20, 40, 60

Zadatak 3: Implementirati unaprijed postavljene i odbrojane načine za brojače za date početne podatke:

Opcije za početne podatke za analizu rada reverzibilnih brojila Tabela 3

Opcija Preset mod (C=0) Qn=Dn Način brojanja (C=1)
Brojač ST2 (DD10) CounterST2/10 (DD11) +1 -1
D8 D4 D2 D1 D8 D4 D2 D1
1, 21, 41
2, 22, 42
3, 23, 43
4, 24, 44
5, 25, 45
6, 26, 46
7, 27, 47
8, 28, 48
9, 29, 49
10, 30, 50
11, 31, 51
12, 32, 52
13, 33, 53
14, 34, 54
15, 35, 55
16, 36, 56
17, 37, 57
18, 38, 58
19, 39, 59
20, 40, 60

Zadatak 4: Izvršite analizu rada paralelnog sabirača sa serijskim prijenosom za izvorne podatke:

Opcije za početne podatke za analizu rada sabirača Tabela 3

Opcija P in Broj A (a i) broj B (bi)
A4 A3 A2 A1 U 4 U 3 U 2 U 1
1, 21, 41
2, 22, 42
3, 23, 43
4, 24, 44
5, 25, 45
6, 26, 46
7, 27, 47
8, 28, 48
9, 29, 49
10, 30, 50
11, 31, 51
12, 32, 52
13, 33, 53
14, 34, 54
15, 35, 55
16, 36, 56
17, 37, 57
18, 38, 58
19, 39, 59
20, 40, 60

Tema 3. Tipični DH elementi i sklopovi

Individualni zadatak br. 5 (Lekcija br. 2)

(Za LR br. 3 „Istraživanje čvorova za konverziju koda,

Prebacivanje signala i kontrolni centar")

Zadatak 1. Za dekoder sa 2 ulaza (konverter binarnog dvocifrenog koda X 2 X 1 u sedmosegmentni indikatorski kod), sastavite i minimizirajte logičku jednačinu za jedan od segmenata. Nacrtajte dijagram implementacije ove jednačine koristeći elemente ILI – NE, I – NE. Da biste to učinili, koristite tabelu istinitosti dekodera (Tablica 1).

Tabela 1

Šifra 10cc Šifra 8421 (ulazne varijable) Element prikaza (sedmosegmentni kod)
X 4 X 3 X 2 X 1 a b c d e f g

Zadatak 2: Na osnovu LF analizirati rad (popuniti tabelu istinitosti) digitalnog jednocifrenog komparatora kola br. 2 (PZ br. 4). Na LR br. 3, sastavite kolo br. 2, provjerite rezultirajuću tablicu istinitosti

Zadatak 3: Za LF izvršite analizu rada (popunite tabelu istinitosti) većinske šeme (PZ br. 4). Na LR br. 3, sastavite kolo, provjerite rezultirajuću tablicu istinitosti

Ulazi kola za nadzor Izlaz kola za nadzor Broj kanala nije uspio
F 3 F 2 F 1 F a 1 a 0

Zadatak 4: Prema LF analizirati rad (popuniti tabelu istinitosti) kontrolnog kola parnosti (neparni paritet) jedinica paralelnog dvobitnog koda (PZ br. 4). Na LR br. 3, sastavite kolo, provjerite rezultirajuću tablicu istinitosti

Zadatak 5: Prema LF, analizirati rad (popuniti tabelu istinitosti) šeme paritetnog kodiranja paralelnog dvobitnog koda (PZ br. 4). Na LR br. 3, sastavite kolo, provjerite rezultirajuću tablicu istinitosti

Zadatak 6: Prema LF-u analizirati rad (popuniti tabelu istinitosti) sklopa za kontrolu parnosti paralelnog dvobitnog koda (PZ br. 4). Na LR br. 3, sastavite kolo, provjerite rezultirajuću tablicu istinitosti

Zadatak 7: Na osnovu LF-a analizirati rad (popuniti tabelu istinitosti) prijenosnog kola paralelnog dvobitnog koda sa provjerom parnosti (PZ br. 4). Na LR br. 3, sastavite kolo, provjerite rezultirajuću tablicu istinitosti

Tema 4. Mikroprocesorska tehnologija

Individualni zadatak br.6 (PZ br.3)

(U LR br. 4 “Elaborat rada mikroprocesora”)

Zadatak br. 1. Koristeći komandni sistem mikroprocesora KR580IK80A, odredite operativne kodove za naredbe:

Opcije
0+ DODAJ L DODAJ H DODAJ A DODAJ B DODAJ C DODAJ E DODAJ H DODAJ D DODAJ L ADDH
MOV A, E MOV B, D MOV C, A MOV D, B MOV E, L MOV H, B MOV L, D MOV A, B MOV A, C MOV E, L
MVI A MVI B MVI A MVI B MVI A MVI B MVI A MVI B MVI D MVI A
DODAJ A DODAJ D DODAJ A DODAJ D DODAJ A DODAJ D DODAJ A DODAJ D DODAJ C DODAJ D
SUB C SUB H SUB C SUB H SUB C SUB H SUB C SUB H SUB C SUB D
10+ DODAJ H DODAJ A DODAJ B DODAJ C DODAJ E DODAJ H DODAJ D DODAJ L DODAJ B DODAJ
MOV A, D MOV A, L MOV A, H MOV B, A MOV B, C MOV B, D MOV B, E MOV B, L MOV B, H MOV B, C
MVI C MVI L MVI C MVI L MVI C MVI L MVI C MVI L MVI E MVI D
DODAJ C DODAJ H DODAJ C DODAJ H DODAJ C DODAJ H DODAJ C DODAJ H DODAJ B DODAJ D
SUB E SUB B SUB E SUB B SUB E SUB B SUB E SUB B SUB E SUB D
20+ DODAJ B DODAJ C DODAJ E DODAJ H DODAJ D DODAJ B DODAJ C DODAJ E DODAJ H ADDA
MOV C, A MOV C, B MOV C, D MOV C, L MOV C, H MOV C, E MOV D, A MOV D, B MOV D, C MOV C, D
MVI D MVI H MVI D MVI H MVI D MVI H MVI D MVI H MVI H MVI A
DODAJ B DODAJ L DODAJ B DODAJ L DODAJ B DODAJ L DODAJ B DODAJ L DODAJ E DODAJ C
SUB L SUB A SUB L SUB A SUB L SUB A SUB L SUB A SUB L SUB H
30+ DODAJ L DODAJ B DODAJ C DODAJ E DODAJ B DODAJ C DODAJ E DODAJ H DODAJ D ADDL
MOV D,E MOV D,L MOV D,H MOV E,A MOV E,B MOV E,C MOV E,D MOV E,H MOV E,L MOV D, B
MVI E MVI A MVI E MVI A MVI E MVI A MVI E MVI A MVI L MVI H
DODAJ E DODAJ A DODAJ E DODAJ A DODAJ E DODAJ A DODAJ E DODAJ A DODAJ D DODAJ L
SUB A SUB D SUB A SUB D SUB A SUB D SUB A SUB D SUB A SUB H

Zadatak br. 2. Koristeći sistem komandi MP KR580IK80A, sastavite program u mašinskom kodu. Računski problem i početni podaci prikazani su u tabeli.

Zasnovano na rezultatu aritmetičke operacije individualni zadatak br.3 odrediti stanje (opisati ciframa-atributima u binarnom brojevnom sistemu) atributnog registra F .

Opcija
Račun. zadatak X+Y=Z X-Y=Z X+Y=Z X-Y=Z X+Y=Z X-Y=Z X+Y=Z X-Y=Z X+Y=Z X-Y=Z
X 16 7A do M(0907) 4B do M(0807) B2 kod p.H u M(0A0F) 3A do M(0804) E2 u str. H 6B do M(0807) u M(090F) 7B do M(0809) u str. A
Y 16 u rijeci D u rijeci A u rijeci B u rijeci E u rijeci D u r.L u M(0A08) u r.C u M(0A0C) u rijeci H
Z u M(0908) u r.E u M(0A08) u r.C u r.L u M(0902) u rijeci H u rijeci A u rijeci A u rijeci B u rijeci E u rijeci B
Opcija
Račun. zadatak X+Y=Z X-Y=Z X+Y=Z X-Y=Z X+Y=Z X-Y=Z X+Y=Z X-Y=Z X+Y=Z X-Y=Z
X 16 u M(0903) DB do M(0805) B7 do p.A u M(0A06) 1A do M(0808) E5 na str. A AB do M(0804) u M(0906) u M(0800) u str. H
Y 16 u r.L u rijeci B u rijeci B u rijeci E u rijeci D u r.L u M(0A08) u rijeci E u M(080C) u rijeci A
Z u M(0908) u r.E u M(0A08) u r.C u rijeci A u M(0906) u rijeci H u rijeci D u rijeci A u rijeci B u rijeci E u rijeci B
Opcija
Račun. zadatak X-Y=Z X+Y=Z X-Y=Z X+Y=Z X-Y=Z X+Y=Z X-Y=Z X+Y=Z X-Y=Z X+Y=Z
X 16 7A do M(0907) 4B do M(0807) B2 kod p.H u M(0A0F) 3A do M(0804) E2 u str. H 6B do M(0807) u M(090F) 7B do M(0809) u str. A
Y 16 u rijeci D u rijeci A u rijeci B u rijeci E u rijeci D u r.L u M(0A08) u r.C u M(0A0C) u rijeci H
Z u M(0908) u r.E u M(0A08) u r.C u r.L u M(0902) u rijeci H u rijeci A u rijeci A u rijeci B u rijeci E u rijeci B
Račun. zadatak
X 16 X-Y=Z X+Y=Z X-Y=Z X+Y=Z X-Y=Z X+Y=Z X-Y=Z X+Y=Z X-Y=Z X+Y=Z
Y 16 u M(0903) DB do M(0805) B7 do p.A u M(0A06) 1A do M(0808) E5 na str. A AB do M(0804) u M(0906) u M(0800) u str. H
Z u r.L u rijeci B u rijeci B u rijeci E u rijeci D u r.L u M(0A08) u rijeci E u M(080C) u rijeci A
u M(0908) u r.E u M(0A08) u r.C u rijeci A u M(0906) u rijeci H u rijeci D u rijeci A u rijeci B u rijeci E u rijeci B

Zadatak za LR br. 4 Studija rada mikroprocesora: Svaki student mora uraditi individualni zadatak o programiranju mikroprocesora.

Podijelite sa prijateljima ili sačuvajte za sebe:

Učitavanje...